Hide metadata

dc.date.accessioned2014-08-18T13:17:25Z
dc.date.available2014-08-18T13:17:25Z
dc.date.issued2014
dc.identifier.urihttp://hdl.handle.net/10852/39895
dc.description.abstract3D integration is an emerging technique which features vertical stacking of chips to achieve high performance, low cost and multifunctional packages. In the heart of 3D integration development is the interconnection technique used between dies. The objective of this work is to utilize Cu/Sn intermetallic bonding to develop reliable, low cost and robust interconnect techniques for 3D die stacking, with major focus on heterogeneous stacking scenarios. This thesis has introduced a novel fluxless Cu-Sn SLID bonding approach, where an intermetallic Cu3Sn layer is applied as the oxidation barrier for Cu interconnects.Oxidation behavior of intermetallic Cu<sub>3</sub>Sn was investigated by aging Cu and Cu/Cu<sub>3</sub>Sn multilayer-films at elevated temperatures in ambient air, and by measuring the oxidation level with energy dispersive x-ray spectroscopy (EDX). Cu/Sn to Cu/Sn dual layer SLID bonding of interconnects was carried out at wafer level. A major advantage of the Cu/Sn to Cu/Sn bonding scenario is avoiding the dynamic wetting of molten Sn to Cu, and replacing with a liquid to liquid integration. The bonded interconnects showed shear strength of 45 MPa and electrical resistance on the order of 100 mΩ. Processing challenges of Cu-Sn SLID bonding were addressed in this thesis. Sn overflow problem in a Cu-Sn SLID system was solved by designing a margin of 15 μm at the Cu pads to tolerate Sn spreading; Approaches to meet uniformity requirement for electroplated Cu and Sn layers, which is crucial for achieving successful wafer-level bonding without a chemical mechanical polishing (CMP), has been proposed. Uniformly deposited Cu layer with 4% height variation across the wafer, has been achieved by combining pulsed-reversed plating and optimization of electroplating mask. Comprehensive study of material properties and the influence of process parameters have been carried out. The mechanism of interdiffusion and reaction between the metals used during wafer-level SLID bonding has been investigated by studying the microstructure evolution of the intermetallic compounds Cu<sub>3</sub>Sn and Cu<sub>6</sub>Sn<sub>5</sub>for samples exposed to temperatures up to 400 °C; The bonding time, required to achieve a single intermetallic compound (IMC) phase (Cu<sub>3</sub>Sn) in the interconnects, was estimated based on the parabolic growth law with consideration of defect-induced deviation; Correlation between the grain size and the interdiffusion rate has been analyzed based on data acquired in this study and in literature, where various deposition methods lead to different grain size; The influence of temperature ramp rate at the beginning of the bonding process has been studies by annealing Cu/Sn film with various temperature ramp rate. Low temperature ramp rate can potentially improve the integrity of the interconnects by reducing voiding and the risk for fracture.en_US
dc.language.isoenen_US
dc.relation.haspartI. H. Liu, E. M. Husa, Z. Ramic, A. Munding, K. Aasmundtveit and N. Hoivik, “Uniformity requirements for electroplated Cu-Sn interconnects used in heterogeneous 3-D MEMS/ASIC stacks", in Proceedings of IMAPS Nordic, September 14-16, 2008, Helsingør, Denmark.
dc.relation.haspartII. Liu, H., Wang, K., Aasmundtveit, K., Hoivik, N. , "Intermetallic Cu3Sn as oxidation barrier for fluxless Cu-Sn bonding", Electronic Components and Technology Conference (ECTC), 2010 Proceedings 60th , pp.853-857, 1-4 June 2010. The paper is removed from the thesis in DUO due to publisher restrictions. The published version is available at: https://doi.org/10.1109/ECTC.2010.5490709
dc.relation.haspartIII. Hoivik, N., Liu, H., Wang, K., Salomonsen, G, Aasmundtveit, K.E., "Hightemperature Stable Au-Sn and Cu-Sn interconnects for 3D stacked applications," Advanced materials and technologies for micro/nano-devices, sensors and actuators: proceedings of the NATO advanced research workshop on advanced materials and technologies for micro/nano-devices, sensors and actuators 2010. he published version of this paper is available at: https://doi.org/10.1007/978-90-481-3807-4_14
dc.relation.haspartIV. Liu, H., Salomonsen, G., Wang,K., Aasmundtveit, K.E. Hoivik, N. "Wafer-level Cu/Sn to Cu/Sn SLID-bonded Interconnect with Increased Strength", IEEE transactions on Advanced Packaging. 2011; 1 (9): 1350-1358. The paper is removed from the thesis in DUO due to publisher restrictions. The published version is available at: https://doi.org/10.1109/TCPMT.2011.2156793
dc.relation.haspartV. Liu, H., Wang, K., Aasmundtveit, K., Hoivik, N. "Intermetallic Compound Formation Mechanisms for Cu-Sn Solid-liquid Interdiffusion Bonding", Journal of Electronic Materials, vol. 41, pp. 2453-2462, 2012. The paper is removed from the thesis in DUO due to publisher restrictions. The published version is available at: https://doi.org/10.1007/s11664-012-2060-3
dc.relation.urihttps://doi.org/10.1109/ECTC.2010.5490709
dc.relation.urihttps://doi.org/10.1007/978-90-481-3807-4_14
dc.relation.urihttps://doi.org/10.1109/TCPMT.2011.2156793
dc.relation.urihttps://doi.org/10.1007/s11664-012-2060-3
dc.titleCu-Sn intermetallic bonding for 3D MEMS integrationen_US
dc.typeDoctoral thesisen_US
dc.creator.authorLiu, He
dc.identifier.urnURN:NBN:no-44660
dc.type.documentDoktoravhandlingen_US
dc.identifier.fulltextFulltext https://www.duo.uio.no/bitstream/handle/10852/39895/1/dravhandling-liu-DUO.pdf


Files in this item

Appears in the following Collection

Hide metadata